-->

2007年9月20日 星期四

VHDL of Adder

library ieee;
use ieee.std_logic_1164.all;

entity Adder is
port ( a : in integer;
b : in integer;
c : out integer );
end entity Adder;
architecture df of Adder is
begin
c <= a+b;
end df;

0 COMMENT: